IBUF.v


// $Header: /mnt/cvs/hdlmaker_lib/xc4000/v/IBUF.v,v 1.1.1.1 2000/10/04 19:59:15 bjrosen Exp $

/*

FUNCTION    : INPUT BUFFER

*/


`timescale  100 ps / 10 ps

`celldefine

module IBUF (O, I);

    parameter cds_action = "ignore";

    output O;

    input  I;

      buf B1 (O, I);

    specify
      (I *> O) = (1, 1);
    endspecify

endmodule

`endcelldefine


HDLMaker Generated Files
IBUF.job Synopsys script file