IFD_F.v


// $Header: /mnt/cvs/hdlmaker_lib/xc4000/v/IFD_F.v,v 1.1.1.1 2000/10/04 19:59:15 bjrosen Exp $

/*

FUNCTION    : D-FLIP-FLOP

*/


`timescale  100 ps / 10 ps

`celldefine

module IFD_F (Q, C, D);

    parameter cds_action = "ignore";
    parameter INIT = 1'b0;

    output Q;
    reg    q_out;

    input  C, D;

    tri0 GSR = glbl.GSR;

    buf B1 (Q, q_out);

      always @(GSR)
          if (GSR)
            assign q_out = INIT;
          else
            deassign q_out;

      always @(posedge C)
          q_out <= D;

    specify
      (posedge C => (Q +: D)) = (1, 1);
    endspecify

endmodule

`endcelldefine


HDLMaker Generated Files
IFD_F.job Synopsys script file