rstbuff.vhd


library IEEE;
      use IEEE.std_logic_1164.all;
      use IEEE.std_logic_misc.all;
      use IEEE.std_logic_arith.all;


entity rstbuff is
      port (

            reset : in std_logic;
            greset : buffer std_logic;
            gblreset : buffer std_logic
      );
      end   rstbuff ;


architecture BEHAVIOR of rstbuff is


begin

gblreset <=  reset;
greset <=  reset;




end;


HDLMaker Generated Files
rstbuff.job Synopsys script file