BUFG.v



/*

FUNCTION       : BUFFER
                 
*/

       
`delay_mode_path

`timescale  100 ps / 10 ps
`celldefine     
module BUFG (O, I);

    parameter cds_action = "ignore";

    output   O;

    input    I;
    
       buf   BUFFER1  (O, I);

    specify

     // Synthesis parameters

`ifdef SYNTH
    specparam Area = 0;
`endif
    
     // Specify path delays

           (I *> O) = (1, 1);

    endspecify

endmodule
`endcelldefine


HDLMaker Generated Files
BUFG.job Synopsys script file