accblock.v


//-- accblock.v
//-- Generated by HDLMAKER Rev 5.6.0, Thursday January 11 2001
//-- Copyright (c) 2001 Polybus Systems Corp, Inc., Westford, MA
//-- The information contained in this file is confidential and proprietary.   
//-- Any reproduction, use or disclosure, in whole or in part, of this         
//-- program, including any attempt to obtain a human-readable version of this 
//-- program, without the express, prior written consent of Polybus Systems Corp, Inc., Westford, MA             
//-- is strictly prohibited.                                       
//-- Engineer: B. Joshua Rosen

module 
accblock(
                a,
                clkenb,
                gblreset,
                sysclk
                );
   //-- IO Declarations
   output [45:0] a;
   input       clkenb;
   input       gblreset;
   input       sysclk;

   //-- Signal Declarations

   wire [45:0]    b;
   wire [45:0]    c;
   wire [45:0]    d;
   wire [45:0]    e;
   wire [45:0]    f;
   wire [45:0]    g;
   wire [45:0]    h;
   wire [45:0]    i;
   wire [45:0]    j;
   wire [45:0]    k;





   //-- Component Instance acc46_1
   acc46 acc46_1
      (

         .a                               ({b[45:0]}),
         .b                               ({e[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({a[45:0]})
      );

   //-- Component Instance acc46_2
   acc46 acc46_2
      (

         .a                               ({a[45:0]}),
         .b                               ({c[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({b[44:0],b[45]})
      );

   //-- Component Instance acc46_3
   acc46 acc46_3
      (

         .a                               ({b[45:0]}),
         .b                               ({d[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({c[43:0],c[45:44]})
      );

   //-- Component Instance acc46_4
   acc46 acc46_4
      (

         .a                               ({c[45:0]}),
         .b                               ({e[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({d[42:0],d[45:43]})
      );

   //-- Component Instance acc46_5
   acc46 acc46_5
      (

         .a                               ({d[45:0]}),
         .b                               ({f[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({e[41:0],e[45:42]})
      );

   //-- Component Instance acc46_6
   acc46 acc46_6
      (

         .a                               ({e[45:0]}),
         .b                               ({g[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({f[42:0],f[45:43]})
      );

   //-- Component Instance acc46_7
   acc46 acc46_7
      (

         .a                               ({f[45:0]}),
         .b                               ({h[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({g[43:0],g[45:44]})
      );

   //-- Component Instance acc46_8
   acc46 acc46_8
      (

         .a                               ({g[45:0]}),
         .b                               ({i[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({h[44:0],h[45]})
      );

   //-- Component Instance acc46_9
   acc46 acc46_9
      (

         .a                               ({h[45:0]}),
         .b                               ({j[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({i[45:0]})
      );

   //-- Component Instance acc46_10
   acc46 acc46_10
      (

         .a                               ({i[45:0]}),
         .b                               ({k[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({j[44:0],j[45]})
      );

   //-- Component Instance acc46_11
   acc46 acc46_11
      (

         .a                               ({g[45:0]}),
         .b                               ({j[45:0]}),
         .clkenb                          (clkenb),
         .gblreset                        (gblreset),
         .sysclk                          (sysclk),
         .y                               ({k[43:0],k[45:44]})
      );
endmodule



HDLMaker Generated Files
accblock.job Synopsys script file