bufgs_black_box.v


module bufgs(
      i,
      o
      ) /* synthesis syn_black_box */;
//-- Pin Definitions
input i;
output      o;
endmodule


HDLMaker Generated Files
bufgs_black_box.job Synopsys script file