sample_pads.v


//-- sample_pads.v
//-- Generated by HDLMAKER Rev 5.6.0, Thursday January 11 2001
//-- Copyright (c) 2001 Polybus Systems Corp, Inc., Westford, MA
//-- The information contained in this file is confidential and proprietary.   
//-- Any reproduction, use or disclosure, in whole or in part, of this         
//-- program, including any attempt to obtain a human-readable version of this 
//-- program, without the express, prior written consent of Polybus Systems Corp, Inc., Westford, MA             
//-- is strictly prohibited.                                       
//-- Engineer: B. Joshua Rosen

module sample_pads(
                   gblreset,
                   mclk,
            mclk_pin,
                   probe,
            probe_pin,
                   reset,
            reset_pin,
                   sysclk,
            sysclk_pin
                   );
   //-- IO Declarations
   input       gblreset;
   output [9:8] mclk;
   input  [9:8] mclk_pin;
   input  [1:0] probe;
   output [1:0] probe_pin;
   output      reset;
   input       reset_pin;
   output      sysclk;
   input       sysclk_pin;

   //-- Signal Declarations





wire  probe_000_out;
wire  probe_001_out;

IFD_F mclk_008_i(
            .C(sysclk),
            .D(mclk_pin[008]),
            .Q(mclk[008])
      );

IFD_F mclk_009_i(
            .C(sysclk),
            .D(mclk_pin[009]),
            .Q(mclk[009])
      );

OBUF_F probe_000_o(
            .I(probe_000_out),
            .O(probe_pin[000])
      );

FDC probe_000_off (
            .C(sysclk),
            .D(probe[000]),
            .Q(probe_000_out),
            .CLR(gblreset)
      );

OBUF_F probe_001_o(
            .I(probe_001_out),
            .O(probe_pin[001])
      );

FDC probe_001_off (
            .C(sysclk),
            .D(probe[001]),
            .Q(probe_001_out),
            .CLR(gblreset)
      );

IBUF reset_i(
            .I(reset_pin),
            .O(reset)
      );

BUFGP sysclk_i(
            .I(sysclk_pin),
            .O(sysclk)
            );

endmodule


HDLMaker Generated Files
sample_pads.job Synopsys script file