assign_num.v


module assign_num(
          val
          );

   parameter number=0;
   output [15:0] val;
   
   assign   val = number;

endmodule // 
assign_num




HDLMaker Generated Files
assign_num.job Synopsys script file